Matlab培训
 
  Home  手机站点  培训课程   在线报名   讲师介绍   学员评论  曙海介绍  联系曙海  企业内训  项目承接 付款方式  最新就业信息
FPGA培训班系列课程
FPGA项目实战系列课程----
嵌入式OS--4G手机操作系统
TI DSP开发编程培训课程
游戏开发编程培训课程
Linux编程开发培训课程
ARM开发培训班课程
WinCE开发培训班课程
单片机系列培训班课程
嵌入式硬件设计
Altium Designer Layout高速硬件设计
VxWorks开发培训班课程
PowerPC开发培训班课程
PLC编程/变频器/数控/人机界面 
开发语言培训班课程
3G手机软件测试、硬件测试
芯片设计/大规模集成电路VLSI
物联网培训班系列课程
Tiny OS培训班系列课程
小型机系统管理
其他类
WEB 在 线 客 服
南京WEB 在 线 客 服
武汉WEB 在 线 客 服
西安WEB 在 线 客 服
广州WEB 在 线 客 服
点击这里给我发消息  
QQ客服一
点击这里给我发消息  
QQ客服二
点击这里给我发消息
QQ客服三
课程公告信息列表
人才推荐&企业招聘合作

合作企业最新人才需求公告

◆招人、应聘、人才合作,
请把需求发到officeoffice@126.com或
访问曙海旗下网站---
电子人才网
www.morning-sea.com.cn
曙海合作&授权单位
曙海培训多媒体实验室
招聘岗位和职位要求
邮件列表
 
 
  Physical Design Implementation培训课程
    班 级 规 模 及 环 境
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
    上 课 时 间 和 地 点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
最近开课时间(周末班/连续班/晚班)
Design Implementation培训课程:具体开班时间欢迎咨询在线客服,视教育质量为生命!
    学 时
     ☆资深工程师授课

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        

        专注高端培训17年,曙海提供的课程得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
    最 新 优 惠
       ◆在读学生凭学生证,可优惠500元。
   .质.量.保.障.

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Physical Design Implementation培训课程
培训方式以讲课和实验穿插进行

课程描述:

第一阶段 IC Compiler 1

Overview
The workshop is based on Synopsys? Lynx Compatible Reference Methodology (LCRM) flow:

  • The CMM Data Setup unit covers how to load the files and libraries required by IC Compiler, as well as setting up scenarios for multi-corner multi-mode (MCMM) analysis and optimization.
  • The Design Planning unit covers how to create a block-level floorplan, including macro placement and a power network, which results in acceptable routeability and timing throughout the flow.
  • The Placement unit focuses on optimizing the placement and logic for timing, congestion, leakage power, and scan-chain ordering.
  • The lock Tree Synthesis (CTS) unit covers controlling and building clock trees, optimizing clock power dissipation, and performing additional timing optimization.
  • The outing unit covers routing of the clock nets, followed by signal routing and optimization, including redundant via insertion, antenna fixing, and crosstalk reduction.
  • The Design for Manufacturability unit covers steps to improve yield and reliability, including wire spreading/widening, diode insertion, inserting filler cells, redundant via insertion, and signoff metal filling using IC Validator. The unit concludes by covering how to generate design data for final verification and validation, as well as converting the block into a hard macro for top-level integration.

Every lecture is accompanied by a comprehensive hands-on lab. Labs use the LCRM directory structure and scripts.

Objectives
At the end of this workshop you should be able to use IC Compiler to:
  • Use the GUI to analyze the layout during the various design phases
  • Perform and debug data setup to create an initial design cell which is ready for design planning and placement; This includes loading required files and libraries, creating a Milkyway design library, and applying common timing and optimization controls
  • Create scenarios for MCMM timing, leakage power, and CTS optimization
  • Create a non-hierarchical block-level floorplan for always-on single-voltage (non-UPF) designs that will be routable and will achieve timing closure
  • Perform standard cell placement and related optimizations to minimize timing violations, congestion, and leakage power; Insert spare cells
  • Analyze congestion maps and timing reports
  • Apply any required CTS constraints, targets, and controls
  • Perform pre-CTS power optimization to reduce clock tree power
  • Execute the recommend clock tree synthesis and optimization flow
  • Analyze clock tree and timing results post-CTS
  • Perform routing setup to control DRC fixing, delay calculation, redundant via insertion, antenna fixing, and crosstalk reduction
  • Route the clock nets
  • Route the signal nets and perform post-route optimization
  • Analyze and fix physical DRC and LVS violations
  • Perform functional ECOs
  • Perform design for manufacturability steps
  • Generate output files required for final validation/verification

Course Outline

Unit 1
  • Introduction
  • MCMM Data Setup
  • Design Planning
Unit 2
  • Design Planning (Lab continued)
  • Placement
  • Clock Tree Synthesis
Unit 3
  • Clock Tree Synthesis (Lab continued)
  • Routing
  • Design for Manufacturability
  • Customer Support

第二阶段 IC Compiler 2: Hierarchical Design Planning

Overview
The workshop teaches floorplan preparation for large and complex integrated circuits. You will learn to partition a design into hierarchical sub-blocks for implementation in IC Compiler. All the floorplan, constraint, and timing information required for implementation is created.

We begin with an initialized floorplan (learned in the IC Compiler 1 workshop). Next, standard cell and macro placement, using plan groups, guide the development of a physical hierarchy. Manipulation of the physical hierarchy is discussed in detail.

We then demonstrate a number of methods for improving the quality of the floorplan including: power network synthesis, in-place optimization, and budgeting. Finally, we create soft macro blocks suitable for place and route processing.

Hands-on labs for all course units use a hierarchical design allowing exploration of all aspects of virtual flat floorplanning.

Objectives
At the end of this workshop the student should be able to:
  • Describe the IC Compiler Design Planning Virtual Flat Placement flow
  • Manipulate the hierarchy and create plan groups using the Hierarchy Browser
  • Perform Power Planning using IC Compiler's Power Network analysis and synthesis capabilities
  • Describe the IC Compiler Design Planning Virtual Flat Placement flow
  • Manipulate the hierarchy and create plan groups using the Hierarchy Browser
  • Perform power planning using IC Compiler's power network analysis and synthesis capabilities
  • Execute virtual flat placement and refine the plan groups
  • Perform in-place optimization
  • Perform plan-group-aware routing (PGAR) pin assignment on all blocks
  • Perform design budgeting and generate block-level SDC files
  • Generate ILM models for chip-level timing analysis and budgeting
  • Define and develop effective time budgeting for place & route in IC Compiler

Course Outline

 
  • Introduction & Overview
  • Partition Top Level into Plan Groups
  • Create Block Macros and Integrate Top
 
版权所有:上海曙海信息网络科技有限公司 copyright 2000-2016
 
上海总部培训基地

地址:上海市云屏路1399号26#新城金郡商务楼310。
(地铁11号线白银路站2号出口旁,云屏路和白银路交叉口)
邮编:201821
热线:021-51875830 32300767
传真:021-32300767
业务手机:15921673576/13918613812
E-mail:officeoffice@126.com
客服QQ: 849322415
北京培训基地

地址:北京市昌平区沙河南街11号312室
(地铁昌平线沙河站B出口) 邮编:102200 行走路线:请点击这查看
热线:010-51292078
传真:010-51292078
业务手机:15701686205
E-mail:qianru@51qianru.cn
客服QQ:1243285887
深圳培训基地

地址:深圳市环观中路28号82#201室

热线:4008699035
传真:4008699035
业务手机:13699831341

邮编:518001
信箱:qianru2@51qianru.cn
客服QQ:2472106501
南京培训基地

地址:江苏省南京市栖霞区和燕路251号金港大厦B座2201室
(地铁一号线迈皋桥站1号出口旁,近南京火车站)
热线:4008699035
传真:4008699035
邮编:210046
信箱:qianru3@51qianru.cn
客服QQ:1325341129
 
成都培训基地

地址:四川省成都市高新区中和大道一段99号领馆区1号1-3-2903 邮编:610031
热线:4008699035 业务手机:13540421960
客服QQ:1325341129 E-mail:qianru4@51qianru.cn
武汉培训基地

地址:湖北省武汉市江岸区汉江北路34号 九运大厦401室 邮编:430022
热线:4008699035
客服QQ:849322415
E-mail:qianru5@51qianru.cn
广州培训基地

地址:广州市越秀区环市东路486号广粮大厦1202室

热线:4008699035
传真:4008699035

邮编:510075
信箱:qianru6@51qianru.cn
西安培训基地

地址:西安市雁塔区高新二路12号协同大厦901室

热线:029-86699670
业务手机:18392016509
传真:029-86699670
邮编:710054
信箱:qianru7@51qianru.cn
 
沈阳培训基地

地址:辽宁省沈阳市东陵浑南新区沈营路六宅臻品29-11-9 邮编:110179
热线:4008699035
E-mail:qianru8@51qianru.cn
郑州培训基地

地址:郑州市高新区雪松路锦华大厦401

热线:4008699035

邮编:450001
信箱:qianru9@51qianru.cn
石家庄培训基地

地址:石家庄市高新区中山东路618号瑞景大厦1#802

热线:4008699035
业务手机:13933071028
传真:4008699035
邮编:050200
信箱:qianru10@51qianru.cn
 

双休日、节假日及晚上可致电值班电话:4008699035 值班手机:15921673576/13918613812 或加qq:1299983702和微信:shuhaipeixun


备案号:沪ICP备08026168号

.(2014年7月11)...............................................................................................
在线客服