Matlab培训
 
  Home  手机站点  培训课程   在线报名   讲师介绍   学员评论  曙海介绍  联系曙海  企业内训  项目承接 付款方式  最新就业信息
FPGA培训班系列课程
FPGA项目实战系列课程----
嵌入式OS--4G手机操作系统
TI DSP开发编程培训课程
游戏开发编程培训课程
Linux编程开发培训课程
ARM开发培训班课程
WinCE开发培训班课程
单片机系列培训班课程
嵌入式硬件设计
Altium Designer Layout高速硬件设计
VxWorks开发培训班课程
PowerPC开发培训班课程
PLC编程/变频器/数控/人机界面 
开发语言培训班课程
Windows内核修炼和内核安全
3G手机软件测试、硬件测试
芯片设计/大规模集成电路VLSI
手机维修&调试培训班课程
物联网培训班系列课程
Tiny OS培训班系列课程
小型机系统管理
检测/传感器/汽车电子及其他
WEB 在 线 客 服
南京WEB 在 线 客 服
武汉WEB 在 线 客 服
西安在线客服
广州WEB 在 线 客 服
沈阳在线客服
郑州在线客服
石家庄在线客服
点击这里给我发消息  
QQ客服一
点击这里给我发消息  
QQ客服二
点击这里给我发消息
QQ客服三
  双休日、节假日及晚上可致电值班电话:4008699035 值班手机:15921673576/13918613812 或加qq:1299983702和微信:shuhaipeixun

值班QQ:
点击这里给我发消息

值班网页在线客服,点击交谈:
 
网页在线客服

 
曙海合作&授权单位
曙海培训多媒体实验室
公益培训

 IC Compiler 1 培训

   课程背景
The class begins with how to load the required synthesis and physical data required by IC Compiler (data setup), followed by creating a floorplan, including power grid, to meet timing and routeability throughout the flow (design planning). The placement flow focuses on optimizing the placement and logic for timing, congestion, power, and scan-chain ordering. The CTS unit covers controlling and building clock trees and performing additional timing optimization, followed by routing of the clock nets. In the routing unit, you will learn the signal routing and optimization steps based on the Zroute mode, including concurrent via doubling and antenna fixing. The chip finishing unit includes steps to improve yield and reliability, including wire spreading/widening, diode insertion, inserting filler cells, redundant via insertion, and metal filling.
Every lecture is accompanied by a comprehensive hands-on lab.
   课程目标
  • Perform data setup, which includes loading required synthesis and physical data, creating a Milkyway design library, and applying common timing and optimization controls
  • Create a non-hierarchical chip-level floorplan that will be routable and will achieve timing closure
  • Perform placement and related optimizations to minimize timing violations, congestion, and power
  • Analyze congestion maps and timing reports
  • Perform pre-CTS power optimization
  • Perform clock tree synthesis
  • Analyze clock and timing results post-CTS
  • Route the clock nets
  • Execute a Zroute-based signal routing flow, with concurrent via doubling and antenna fixing
  • Analyze and fix physical DRC and LVS violations
  • Perform functional ECOs
  • Perform chip finishing steps
  • Generate output files required for final validation/verification
   班级规模及环境--热线:4008699035 手机:15921673576/13918613812( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。注意:本课程一旦开课不予退费。
   时间地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
最近开课时间(周末班/连续班/晚班):
IC Compiler 1班:具体开班时间欢迎咨询在线客服,视教育质量为生命!
   学时和费用
        ★课时: 请咨询在线客服

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        

        专注高端培训17年,曙海提供的课程得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   最新优惠
       ◆在读学生凭学生证,可优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

   课程大纲:

IC Compiler 1 培训

课程内容:

阶段 1
  • Introduction and Overview
  • Data Setup and Basic Flow
  • Design Planning
阶段 2
  • Design Planning (Lab continued)
  • Placement
  • Clock Tree Synthesis
阶段 3
  • Clock Tree Synthesis (Lab continued)
  • Routing
  • Chip Finishing
  • Customer Support
SOC芯片设计培训
.(2014年7月11)..................................................................................





在线客服